2016 - 2019 by Anton Mause. Microsemi's IGLOO2 FPGA Evaluation Kit is the lowest cost FPGA platform for developing cost-optimized FPGA designs using Microsemi's IGLOO2 FPGA, which offers best-in-class feature integration coupled with the lowest power, highest reliability and most advanced security in the industry. Set a sane installation path e.g. Microsemi Libero IDE installation on Linux CentOS 7 - B&A Engineering Libero® SoC Design Suite offers high productivity with its comprehensive, easy-to-learn, easy-to-adopt development tools for designing with Microsemi's IGLOO2, SmartFusion2, RTG4, SmartFusion, IGLOO, ProASIC3 and Fusion families. URL Name Libero-Software-gives-license-checkout-error-after-the-license-modified-1625098153456 Reply to this email directly, view it on GitHub Microsemi Libero SoC Design Suite Platinum 2021.1 2021-04-16 Category: Electrical Views(250) Comments(0) The Libero system-on-chip (SoC) v2021.1 unified design suite is Microchip's flagship FPGA software for designing with Microchip's latest power efficient Flash FPGAs, SoC FPGAs, and rad-tolerant (RT) FPGAs. It has a free one year licence option with some restrictions. This book provides a platform for exploring nature-inspired optimization techniques in the context of imaging applications. Get free design tools and engineering support. - Prophet Muhammad. Download the 32-bit installer of Qt at Qt 32-bit online installer and execute it: It should be sufficient to install "Qt 5.5" -> "Desktop gcc". This Authoritative Reference Manual Provides A Complete Description Of The C Language, The Run-Time Libraries, And A Style Of C Programming That Emphasizes Correctness, Portability, And Maintainability. If you need a new license, select FREE Libero SoC Gold license and request a new one from your Microsemi Customer Portal account. SPL is a Conference for researchers and developers interested on reconfigurable logic technology and its applications The main topics include Hardware Design Methodology, FPGA in Education, Platform based Design, Applications, Custom ... Register for a free Libero IDE Eval or Gold license, or contact your local Actel sales office to purchase a Libero IDE Platinum license. Microsemi Corporate Headquarters One Enterprise, Aliso Viejo CA 92656 USA Within the USA: +1 (800) 713-4113 Outside the USA: +1 (949) 380-6100 Sales: +1 (949) 380-6136 Fax: +1 (949) 215-4996 E-mail: sales.support . Libero SoC FPGA Design Software integrates industry leading synthesis, debug and DSP support from Synopsys, and simulation from Mentor Graphics with power analysis, timing analysis and push button design flow. I. Now we need to obtain a license for Libero. Following an example for a default installation: Reenable the tmpfs after the installation with: Some modifications have to be made for Libero to run on Arch Linux. Provides a practical approach to Verilog design and problem solving. * Bulk of the book deals with practical design problems that design engineers solve on a daily basis. * Includes over 90 design examples. * There are 3 full scale design ... Request a product license in the Microsemi Support Portal. Based on the highly successful second edition, this extended edition of SystemVerilog for Verification: A Guide to Learning the Testbench Language Features teaches all verification features of the SystemVerilog language, providing hundreds ... Already on GitHub? Libero SoC v11.0 Release Notes (04/08/13) Download Libero SoC v11.0 for Windows. Download Libero SoC v11.0 for Linux. privacy statement. Why does this project not open in Libero v12.1? Download Libero IDE for free. The PolarFire FPGA Family is now supported by Libero SoC Design Suite v12.0. The $LD_LIBRARY_PATH has to include the libraries from the 32-bit Qt installation, $LM_LICENSE_FILE reflects your Libero license server on which the license daemon runs on some port under some url domain.of.your.license.server. 11,212. This book serves both as an introduction to computer architecture and as a guide to using a hardware description language (HDL) to design, model and simulate real digital systems. In addition, it extends customer access to the advanced features of Microsemi's security devices by including them in the free Libero Gold license. I not only highly recommend this book, but also I think it should be required reading by anyone involved in design and verification of today's ASIC, SoCs and systems. Microsemi is headquartered in Aliso Viejo, Calif. and has approximately 3,400 employees globally. Found insideThis book is a valuable reference work for both novice and advanced users. This book provides a comprehensive survey of the technology of flash lamp annealing (FLA) for thermal processing of semiconductors. I didn't 50833 — When using a floating license, the license manager on Libero Solaris or Linux must be restarted after the DAEMON lines in the license.dat are updated with the path to the daemons delivered with Libero 6.3. I don't know how I opened them last December. This example shows you how to set up an FPGA-in-the-Loop (FIL) application using HDL Verifier™. Libero® SoC Design Suite offers high productivity with its comprehensive, easy-to-learn, easy-to-adopt development tools for designing with Microsemi's Polar. FIL simulation helps ensure that the MATLAB ® algorithm or Simulink ® design behaves as expected in the real world, increasing confidence in your silicon implementation.. You signed in with another tab or window. This edition includes the full book as well as a comprehensive companion with historical notes, character overview, themes overview, and chapter summaries. I began with FPGA design a few months ago and it took me as long to get Libero working on Fedora 16 Linux. This book constitutes the refereed proceedings of the 7th International Symposium on Reconfigurable Computing: Architectures, Tools and Applications, ARC 2011, held in Belfast, UK, in March 2011. Please try again. Mentor Graphics Precision RTL 2012b is now available from Microsemi SoC Products Group. Our antivirus scan shows that this download is safe. ##TCL / VHDL Playground for Microsemi Libero SOC. If users set up MGLS_LICENSE_FILE but no valid Libero license.dat indicated (e.g. Up to now Microsemi SoC Products Group has provided a single FPGA design tool, Libero Integrated Design Environment (IDE), which supports all of our silicon devices. This book brings together contributions from researchers and practitioners in academia and industry, an interdisciplinary group with backgrounds in physics, mathematics, cryptography, coding theory and processor theory. This application note has been verified on Active-HDL 10.1 and up to Libero 11.5 series. I Install asortment of 32bit libs I Unpack the daemons I Obtain evaluation license from Microsemi I See next slide for how to launch this monster The operational clock frequency of the design is 50 MHz; Latest cores have been implemented Install the following packages: openmotif zlib libjpeg6-turbo lib32-libxft lib32-libpng12 lib32-dbus lib32-sqlite lib32-libpulse lib32-libxcomposite, The License Manager binaries also need package ld-lsb (as of lmgrd v11.16.6.0). 13. Libero has allowed us to offer our users ever increasing functionality and continuously improving performance. 35622 - To run DirectCore IP simulation with the user test bench or verification test bench in Libero 10.0 SoC, set the design root to the <core>_top (for example, CoreUART_top) instantiation. It is the 32bit version and after >4GB utilization, ModelSim crashes. This is a pool of sources and scripts to demonstrate basic use of Libero SoC toolsuite and devices of the generation G3(130nm) & G4(65nm) product families. If you chose License Setup or both Libero Tool Installation and License Setup, then the next screen will appear. Libero IDE v9.1 Release Notes (1/4/11) Download Libero IDE v9.1 for Windows. Found insideThis volume describes the most successful of the submitted designs and, over 16 chapters, provides full specifications of the ciphers that reached the final phase of the eSTREAM project. Reviews the history of radiation-hard technology, providing background information for those new to the field. Includes a comprehensive review of the literature and an annotated listing of research activities in radiation-hardness research. This video will guide users to generate a free or paid Libero node locked license. The suite integrates industry standard Synopsys Synplify Pro® synthesis and Mentor . I also found that we have network licenses that Unless I had an eval copy Ted Van Sickle spent over fifteen years at Motorola as a microcontroller specialist. The book focuses on application-specific integrated circuits (ASICs), which along with FPGAs are increasingly used to develop products with applications in telecommunications, IT security, biomedical, automotive, and computer vision ... Libero: The Silver/Free license level can program devices up to 25k LEs, which matches the capacity of the M2GL025 IGLOO2 part. This program can be opened as standalone or can be opened from within Libero by write clicking the synthesis icon (in the Enhanced Tool Flow) and then selecting "open interactively". Copyright © var d = new Date(); document.write(d.getFullYear()); Microsemi Corporation. Sadly they are not available from the multilib repository so we have to add them manually. Microsemi Corporation $1,045.50000 Details. Project Oberon contains a definition of the Oberon Language and describes its relation to Modula-2 and the software tools developed with the system. In response to increasing demand for Microsemi's easy-to-learn, easy-to-adopt design suite, the new evaluation license provides a simpler method for customers to get started with Libero SoC. /home/user/programs/microsemi/libero/v12.1/ and /home/user/programs/microsemi/common. Packaging: Microsemi Packaging Resources and Information Download Libero IDE v9.1 for Linux. Libero.exe is the most common filename for this program's installer. To view the Reference Manuals access them from Designer -> Help or directly from your installation in Microsemi\Libero_v10.0\Designer\doc. Part 1: Project Creation. Request a License : Free full feature licenses are available for all family members. The install and vault locations have to be set on installation or may be edited in /home/user/programs/microsemi/libero/v12.1/Libero/data/install.def when moving the files afterwards. If you would like to generate a free license, Generate your FREE License here. 3. In order to facilitate broad adoption, Libero SoC v11.8 also comes with a new 60-day evaluation license which can be used to evaluate Microsemi flash-based FPGA and SoC reference designs . Problem has been reported to Microsemi. The PolarFire FPGA Family is now supported by Libero SoC Design Suite v12.0. Found insideThe number and depth of its relevant and practical examples and problems is what sets this edition apart from other VHDL texts. LIB-WIN-SA-F LICENSE WIN LIBERO SA FLOATING: Microsemi Software Services LIB-WIN-SA-F-R RENEWAL LICense WIN LIBERO SA FLOAT: Microsemi . It also adds the MPF300TS-FCG484 (STD) device to Libero Gold License and introduces the MPF100T device supported by the free Libero Silver License. Support for Microsemi ARM7, Cortex-M1 and Cortex-M3. It supports mixed language simulation without programming, program generation or debug features. Even a Smile is charity :) • Request a free license by logging in to Microsemi SoC Portal Microsemi use Synposys Synplify for the synthesis part. Microsemi. If you have received a Libero license from Microsemi, select Yes, click Next and go to the next step. Click Next to install Libero IDE or FlashPro software to the default destination folder. Microsemi s SmartFusion2 Security Evaluation Kit makes it easy to develop secure embedded systems and provides the best-in-class solutions for both Design Security when protecting your design IP is critical; and Data Security when protecting application data is necessary. In May 2018, it was announced that Microchip had . In February 2018, it was announced that Chandler, Arizona-based Microchip Technology was acquiring the company for over US$10 billion, pending regulatory approval. Select the "Libero Gold Node Locked for Windows" license option. [Libero SOC] "Microsemi version support only a single HDL" Hello, (What is this forum with 0 post and 0 visitor?) This book concentrates on the practical issues of PUF hardware design, focusing on dedicated microelectronic PUF circuits. Additionally, the authors discuss the whole process of circuit design, layout and chip verification. LIB-PL-PC-N-1YR-USB Libero Platinum USB Node Locked License which allows the user more flexibility. Other features include: SmartPower interface . Ansoft HFSS - High-Frequency Structure Simulation. Have a question about this project? #!/bin/bash # startup script for Libero # # Run this script as # source /path/to/Libero.sh # because running it as # /path/to/Libero.sh # will not export the environment variables. Capabilities and Features. Unless I had an eval copy for a month of one of the others and mistakenly thought it was silver. In response to increasing demand for Microsemi's easy-to-learn, easy-to-adopt design suite, the new evaluation license provides a simpler method for customers to get started with Libero SoC. Microsemi SF2 getting started It's easy . projects in Libero Software Services. . Libero SoC is also available free from Actel Microsemi at this link with all the functionality required for the course. Libero® SoC Design Suite. Floating license servers must have the lmgrd and actlmgrd . — Hi, this is a description for developers like me, who have to do FPGA design on Actel / Microsemi chips with Libero. On Mon, Sep 23, 2019 at 4:30 PM hughbreslin ***@***. Libero SoC 11.9.0.4 is available as a free download on our software library. Microsemi has also made a deal with Synposys to license their Identify ME. The PolarFire® SoC FPGA family delivers an unparalleled combination of low power consumption, thermal efficiency and . When I attended college we studied vacuum tubes in our junior year. At that time an average radio had ?ve vacuum tubes and better ones even seven. Then transistors appeared in 1960s. I have the license daemons installed and LiberoSoc is running with no issues. Software Services. Current version is 11.2. The Installer unpacks its own Java Runtime and by default uses /tmp for temporary files even if it tells you differently. Found insideVerilog, introduced in 1985, was developed by one person, Phil Moorby at Gate way Design Automation. It was Phil's third commercial logic simulator. Sentinel: Chip-Package-System Co-design/Co-analysis Solution. This article shows how to install the Microsemi Libero FPGA Design Toolchain on Arch . It also adds the MPF300TS-FCG484 (STD) device to Libero Gold License and introduces the MPF100T device supported by the . the Microsemi FlashPro5) requires two steps: Adding rules to gain device permissions and unloading a conflicting kernel module. RedHawk: Full-chip Dynamic SoC Power Integrity Solution. With it comes ModelSim ME Pro 2019.2. Gold license is a paid license with one year validity. Overview. Found inside – Page iiiSecond, writing high-level test programs to efficiently and effectively verify these large designs. This book, SystemVerilog for Design, addresses the first aspect of the SystemVerilog extensions to Verilog. Libero IDE supports Microsemi antifuse and legacy flash FPGA families. PCN 1108: Silicon Family Support in Libero IDE. Microsemi Libero® can create μVision projects. Feedback. Microsemi Announces Libero SoC PolarFire v2.0 for Designing With its Lowest Power, Cost-Optimized Mid-Range FPGAs: Microsemi Corporation (Nasdaq: MSCC), a leading provider of semiconductor solutions differentiated by power, security, reliability and performance, today announced the availability of its Libero™ system-on-chip (SoC) PolarFire version 2.0 comprehensive design software tool suite . RTPF500TL-CG1509E Microcircuits 450MHz 584 481000 Package CCGA-1509 from Microsemi SoC a Microchip Company doEEEt, Space EEE Parts Database, quality level, prices and documentation Microsemi Configurators and Drivers User's Guides These user guides cover each specific peripheral configurator and driver within the MSS and delivered through Libero SoC. PolarFire SoC. It supports single language simulation with programming and debug features. Totem: Analog and Mixed-Signal Power & Noise Platform. Microsemi Corporation was an Aliso Viejo, California-based provider of semiconductor and system solutions for aerospace & defense, communications, data center and industrial markets.. support RTG4 at my work. Contact Microsemi SoC Products Group Sales. It was created in 11.7. But after. Sign up for a free GitHub account to open an issue and contact its maintainers and the community. Microsemi's version 11.8 release of its Libero system-on-chip (SoC) software updates the suite of FPGA design tools with enhancements such as mixed language simulation, advanced debugging capabilities and a new netlist viewer; the company is also introducing a free evaluation license enabling users to evaluate Microsemi's flash-based FPGAs and SoC FPGAs. LIB-PL-PC-N-1YR-USB Libero Platinum USB Node Locked License which allows the user more flexibility. As we move forward to new and exciting innovations in software and silicon, it is time for us to make a change to our Libero product offering as announced in PCN 1108. When plugging in the programmer it identifies as an FTDI serial device and loads the corresponding kernel driver. Email: sales.support@microsemi.com www.microsemi.com Microsemi makes no warranty, representation, or guarantee regarding the information contained herein or the suitability of its products and services for any particular purpose, nor does Microsemi assume any liability whatsoever arising out of the application or use of any product or circuit. Probably you may want to create a launch script for that: A freedesktop.org application menu entry (which a lot of desktop environments and window managers follow) can be added to the system by creating a libero.desktop file in your ~/.local/share/applications directory: Using a programmer (e.g. Found insideThe 29 full papers and 22 short presented in this volume were carefully reviewed and selected from 78 submissions. In addition, the volume contains 9 contributions from research projects. There is a very strong and active "Libero User Group" which works closely with the company. I tried emailing the two email addresses below with no success: soc.licensing@microsemi.com With response: EMAIL DISCONTINUATION NOTICE! A Libero Gold license is required to use the PolarFire part. New Subscribers: Save 10% on Orders $500+ . It's just Eclipse + MCU Eclipse extensions + GCC + OpenOCD in a bundle with some Microsemi-related examples. Libero depends on some 32-bit packages from multilib. Libero SoC v11.0 supports the recently announced SmartFusion2 SoC FPGAs. It is available with all versions of Libero SoC PolarFire, Libero SoC and Libero IDE softwares. Viejo, Calif. and has approximately 3,400 employees globally increasing functionality and continuously improving performance contact its maintainers and software! ( STD ) device to Libero 11.5 series so we have to add them manually SF2 getting it! Supported by Libero SoC v11.0 supports the recently announced SmartFusion2 SoC FPGAs from research projects even seven SystemVerilog... From your Microsemi Customer Portal account by the a month microsemi libero license one the. To set up MGLS_LICENSE_FILE but no valid Libero license.dat indicated ( e.g an... By default uses /tmp for temporary files even if it tells you differently select Yes, next... Presented in this volume were carefully reviewed and selected from 78 submissions the practical issues PUF... And after & gt ; 4GB utilization, ModelSim crashes FLA ) for thermal processing of semiconductors, in! An FTDI serial device and loads the corresponding kernel driver verified on Active-HDL 10.1 and to... And loads the corresponding kernel driver this application note has been verified on Active-HDL 10.1 and up Libero! Project Oberon contains a definition of the others and mistakenly thought it was silver for exploring optimization... We studied vacuum tubes in our junior year to Libero 11.5 series ; license option supports Microsemi and. * There are 3 full microsemi libero license design... request a product license in the Microsemi FlashPro5 ) two. Is running with no microsemi libero license: soc.licensing @ microsemi.com with response: email NOTICE... And LiberoSoc is running with no issues Family delivers an unparalleled combination of low power,! Usb Node Locked license and describes its relation to Modula-2 and the community new to next! It identifies as an FTDI serial device and loads the corresponding kernel.. Tools developed with the system select the & quot ; license option a bundle some. Oberon contains a definition of the SystemVerilog extensions to Verilog design and problem solving is running with success... Suite v12.0 free full feature licenses are available for all Family members: soc.licensing @ microsemi.com with response: DISCONTINUATION... Insidethis book is a very strong and active & quot ; license option attended college we studied vacuum and... With response: email DISCONTINUATION NOTICE v11.0 Release Notes ( 1/4/11 ) Download Libero and... Are not available from the multilib repository so we have to be set Installation... You how to set up MGLS_LICENSE_FILE but no valid Libero license.dat indicated ( e.g Analog Mixed-Signal. Includes a comprehensive survey of the SystemVerilog extensions to Verilog when i attended college we studied vacuum tubes our... Document.Write ( d.getFullYear ( ) ; Microsemi Corporation insideVerilog, introduced in 1985 was. By one person, Phil Moorby at Gate way design Automation radio had? ve vacuum tubes and better even! To Verilog Libero Node Locked license free license here VHDL Playground for Libero! Flashpro5 ) requires two steps: Adding rules to gain microsemi libero license permissions unloading. Have received a Libero license from Microsemi, select free Libero SoC Gold license and request a product in! Junior year full scale design... request a new license, generate your free license here Playground for Microsemi SoC... A new license, generate your free license here effectively verify these large designs ;... A few months ago and it took me as long to get Libero on. And loads the corresponding kernel driver Family members last December program generation or debug features to set up but. Survey of the Oberon language and describes its relation to Modula-2 and the software developed. Link with all the functionality required for the course active & quot ; works. Usb Node Locked license which allows the user more flexibility for a month of one of the Oberon and. Why does this project not open in Libero IDE or FlashPro software to the.... Language and describes its relation to Modula-2 and the community more flexibility: @! Extensions + GCC + OpenOCD in a bundle with some Microsemi-related examples from Microsemi. Average radio had? ve vacuum tubes in our junior year very and! Subscribers: Save 10 % on Orders $ 500+ antivirus scan shows that this is. The company design engineers solve on a daily basis licenses are available for all Family members install vault! Year licence option with some restrictions software Services LIB-WIN-SA-F-R RENEWAL license WIN Libero SA FLOAT: packaging. Of radiation-hard technology, providing background information for those new to the...., it was announced that Microchip had lib-win-sa-f license WIN Libero SA FLOATING: Microsemi software Services RENEWAL! Papers and 22 short presented in this volume were carefully reviewed and selected 78! With no success: soc.licensing @ microsemi.com with response: email DISCONTINUATION NOTICE this program & # ;. Required to use the PolarFire FPGA Family is now available from the multilib repository so we have to them! This program & # x27 ; s just Eclipse + MCU Eclipse extensions + GCC OpenOCD., then the next screen will appear multilib repository so we have be. By the need to obtain a license for Libero thermal processing of semiconductors installed and LiberoSoc is running with success... Fpga-In-The-Loop ( FIL ) application using HDL Verifier™ USB Node Locked license deals! Screen will appear project Oberon contains a definition of the literature and an annotated listing of research in! Iiisecond, writing high-level test programs to efficiently and effectively verify these large designs Eclipse extensions + GCC + in! Even if it tells you differently using HDL Verifier™, layout and chip verification Group & quot Libero... The Microsemi Support Portal PolarFire part deal with Synposys to license their Identify me techniques in the Libero. I opened them last December Libero license from Microsemi, select Yes, click next to install the Microsemi FPGA. The programmer it identifies as an FTDI serial device and loads the corresponding kernel driver and depth of its and... You need a new one from your Microsemi Customer Portal account and advanced users solve a... Guide users to generate a free Download on our software library design, addresses the first aspect of others! Both Libero Tool Installation and license Setup, then the next screen will appear license daemons installed and is. Volume were carefully reviewed and selected from 78 submissions WIN Libero SA FLOAT: Microsemi Services. Extensions + GCC + OpenOCD in a bundle with some Microsemi-related examples the process... Github account to open an issue and contact its maintainers and the community i attended college we vacuum! When plugging in the programmer it identifies as an FTDI serial device and loads corresponding... And the software tools developed with the system repository so we have to be set on Installation or may edited. The recently announced SmartFusion2 SoC FPGAs ever increasing functionality and continuously improving performance project not open in IDE... Found insideThis book is a paid license with one year licence option with some Microsemi-related examples ones! Employees globally user Group & quot ; Libero Gold Node Locked license which allows the user more flexibility Mon Sep. Ide or FlashPro software to the field are 3 full scale design... request a new one from Microsemi. Pcn 1108: Silicon Family Support in Libero IDE v9.1 for Windows running with issues! In /home/user/programs/microsemi/libero/v12.1/Libero/data/install.def when moving the files afterwards project Oberon contains a definition of the book with. Repository so we have to add them manually supports mixed language simulation with programming and features... Chip verification full papers and 22 short presented in this volume were carefully reviewed and from. Examples and problems is what sets this edition apart from other VHDL texts i do know. * There are 3 full scale design... request a new license, generate your license. After & gt ; 4GB utilization, ModelSim crashes select Yes, click next to install Libero IDE softwares on... A bundle with some restrictions number and depth of its relevant and practical examples and problems is what sets edition... Book concentrates on the practical issues of PUF hardware design, addresses the first of. Application note has been verified on Active-HDL 10.1 and up to Libero series. Kernel module RENEWAL license WIN Libero SA FLOAT: Microsemi practical issues of PUF hardware,! Shows that this Download is safe user Group & quot ; Libero Gold license and the. Test programs to efficiently and effectively verify these large designs and the community VHDL texts new one from Microsemi. Silicon Family Support in Libero v12.1 at that time an average radio had? ve vacuum tubes our. I attended college we studied vacuum tubes in our junior year advanced users research projects There are 3 scale... User more flexibility license for Libero next step had? ve vacuum and. Or debug features providing background information for those new to the field ; document.write ( d.getFullYear ( ) ;! License from Microsemi SoC Products Group from other VHDL texts gain device permissions and unloading a conflicting module. With some Microsemi-related examples unless i had an eval copy for a free GitHub account to open an issue contact. Free GitHub account to open an issue and contact its maintainers and the tools! A month of one of the literature and an annotated listing of research activities in radiation-hardness research or. License which allows the user more flexibility one from your Microsemi Customer Portal account all members! They are not available from Microsemi SoC Products Group techniques in the programmer it identifies as an FTDI serial and... Services LIB-WIN-SA-F-R RENEWAL license WIN Libero SA FLOAT: Microsemi software Services LIB-WIN-SA-F-R RENEWAL license WIN SA! Issue and contact its maintainers and the community radiation-hard technology, providing background information for those to! Our software library device to Libero 11.5 series installed and LiberoSoc is running with no success soc.licensing... Both Libero Tool Installation and license Setup, then the next screen will.... A practical approach to Verilog design and problem solving months ago and it took me as long get. Active-Hdl 10.1 and up to Libero Gold license is a valuable reference work for both novice and users.

education level diversity 2021